Revenue generated by the CPO market reached around $38 million in 2022 and is expected to reach $2.6 billion in 2033, at a 46% CAGR for 2022 to 2033. According to Yole Intelligence, part of Yole Group, projections of rapidly growing training dataset sizes show that data will become the main bottleneck for scaling ML models, and as a result, a slowdown in AI progress might be observed. Using optical I/O in ML hardware can help to solve the issues related to explosive data growth. To accelerate data movement in AI/ML gear is the main driver for adopting optical interconnects for next-generation HPC systems.

According to Martin Vallo, Ph.D., senior analyst, Photonics, specializing in optical communication and semiconductor lasers within the Photonics and Sensing division at Yole Intelligence: “Pluggable form factors will be limited in their ability to support 6.4 T and 12.8 T capacity in terms of required electrical and optical densities, thermal management, and energy efficiency. As a result of discrete electrical device implementation, power dissipation, and thermal management are becoming limiting factors for future pluggable optics. Co-packaging using a silicon photonics technology platform aims to overcome the challenges mentioned above”.

YOLE 2 4-6-23.jpg

In this context, Yole Intelligence releases its Photonics & Lighting report, Co-packaged Optics for Datacenter 2023. In this report, the companypart of Yole Groupprovides the context of why DC operators explore CPO technology, gives market forecasts split by technology architectures, and reviews the industry and the potential impact on the supply chain. It also examines technological approaches for CPO and discusses CPO challenges. In addition, this study reviews the global challenge and focuses on the power and energy aspect.

YOLE 3 4-6-23.jpg

Optics are coming closer and closer to the chipset. Bringing in data using light to the point where it is centrally processed is one of the main goals of architecture designers. This trend started a decade ago with proprietary designs for optical assemblies mounted on PCBs . The idea of these EOIs has continued in the COBO , which has developed specifications to permit the use of board-mounted optical modules in the manufacturing of networking equipment. CPO is an innovative approach that brings the optics and the switch ASIC very close together. Since it is challenging with today’s technology to surround the 50 T switch chip with 16 3.2 Tbps optical modules, NPO tackles this by using a high-performance PCB substratean interposerthat sits on the host board, in contrast to CPO, where the modules surround the chip on a multi-chip module substrate. The NPO interposer is more spacious, making the signal routing between the chip and optical modules easier while still meeting signal integrity requirements. In contrast, CPO confines the modules and host ASIC much closer to each other with lower channel loss and power consumption.

According to Eric Mounier, Ph.D., fellow analyst at Yole Intelligence "Networking hardware is seeing more common components as technology advances enable tighter integration of communication and computing technologies in commercial systems. Moreover, artificial intelligence models are growing in size at an unprecedented rate, and the capabilities of the traditional architectures – copper-based electrical interconnectsfor chip-to-chip or board-to-board will become the main bottleneck for scaling machine learning”.

As a result, new very-short-reach optical interconnects have emerged for HPC and its new disaggregated architecture. Disaggregated design distinguishes the compute, memory, and storage components found on a server card and pools them separately. Using advanced in-package optical I/O technology to interconnect xPUs, specifically CPUs, DPUs, GPUs, FPGAs, and ASICs, with memory and storage can help to achieve the necessary transmission speeds and bandwidths.